본문 바로가기
Xilinx SoC/Zynqberry

1. FPGA 디자인

by 코딩스미스 2022. 5. 7.

보드 제약 설정과 block 디자인을 처음부터 하는 방법은 추후 업로드하겠다.

제조사에서 제공하는 예제 파일을 사용하겠다.

 

TE0726-test_board_noprebuilt-vivado_2020.2-build_8_20211104085813.zip

 

 

Download

 

shop.trenz-electronic.de

 

~$ mkdir -pv ~/trenz_demo

~$ cd ~/trenz_demo

~/trenz_demo$ wget https://shop.trenz-electronic.de/trenzdownloads/Trenz_Electronic/Modules_and_Module_Carriers/special/TE0726/Reference_Design/2020.2/test_board/TE0726-test_board_noprebuilt-vivado_2020.2-build_8_20211104085813.zip

~/trenz_demo$ unzip TE0726-test_board_noprebuilt-vivado_2020.2-build_8_20211104085813.zip

~/trenz_demo$ cd test_board

~/trenz_demo/test_board$ chmod +x _create_linux_setup.sh

~/trenz_demo/test_board$ ./_create_linux_setup.sh

 

------------------------Set design paths----------------------------
-- Run Design with: _create_linux_setup.sh
-- Use Design Path: /home/dovob/trenz_demo/test_board
--------------------------------------------------------------------
------------------------TE Reference Design-------------------------
--------------------------------------------------------------------
-- (c)  Go to CMD-File Generation (Manual setup)                    
-- (d)  Go to Documentation (Web Documentation)                     
-- (x)  Exit Batch (nothing is done!)                               
-- (0)  Module selection guide, project creation...                 
-- (1)  Create minimum setup of CMD-Files and exit Batch            
-- (2)  Create maximum setup of CMD-Files and exit Batch            
----                                                                
 Select (ex.:'0' for module selection guide):
0
-- Run Design with: _create_linux_setup.sh
-- Use Design Path: /home/dovob/trenz_demo/test_board
---------------------Load basic design settings---------------------
-- 2019.2 -- 2019.2
-----------------------------------------
'/opt/Xilinx' did not exists.
  Please specifiy you Xilinx installation folder: 
/tools/Xilinx	
Use Xilinx installation from '/tools/Xilinx'
--------------------------------------------------------------------
------------------Set Xilinx environment variables------------------
-- Use Xilinx Version: 2019.2 --
--Info: Configure Xilinx Vivado Settings --
-- Critical Warning: /tools/Xilinx/Vivado/2019.2/.settings64-Vivado.sh not found --
--Info: Configure Xilinx Vitis Settings --
-- Critical Warning: /tools/Xilinx/Vitis/2019.2/.settings64-Vitis.sh not found --
--Info: Configure Xilinx LabTools Settings --
-- Note : /tools/Xilinx/Vivado_Lab/2019.2/.settings64.sh not found --
--------------------------------------------------------------------
-- Error: Need Vivado to run. --
---------------------------Error occurs-----------------------------
--------------------------------------------------------------------
---------------------------Minimal Setup----------------------------
--- 1. Open design_basic_settings.sh with text editor
--- -- Note: Module selection guide modifies this file automatically
--- 1.1 Set Xilinx Installation path, default: XILDIR=/opt/Xilinx/
--- 1.2 Set the Board Part you bought, example: PARTNUMBER=te0726-3m
--- --- For available names see: ./board_files/TExxxx_board_files.csv
--- 1.3 Save design_basic_settings.sh
--- Create and open Vivado Project with batch files:
--- 2. To create vivado project, execute: ./vivado_create_project_guimode.sh
--- Open existing Vivado Project with batch files:
--- 3. To open existing vivado project, execute: ./vivado_open_existing_project_guimode.sh
--- Use Trenz Electronic Wiki for more information:
--- https://wiki.trenz-electronic.de/display/PD/Project+Delivery
--------------------------------------------------------------------
Press [Enter] key to continue...
~/trenz_demo/test_board$ vi design_basic_settings.sh

파일을 아래와 같이 수정합니다.

board_files\TE0726_board_files.csv를 보면 사용하고자 하는 보드의 ID가 11인것을 확인할 수 있다.

export XILDIR=/tools/Xilinx/
export VIVADO_VERSION=2020.2
export PARTNUMBER=11

해당 파일에는 FPGA 블록 회로 디자인 파일이 2019.2로 작성되어서 2020.2에서 열기 위해 버전 수정이 필요하다.

 

~/trenz_demo/test_board/block_design$ vi zsys_bd.tcl
set scripts_vivado_version 2020.2

아래 명령을 입력하면, Vivado 2020.2이 실행되고 프로젝트 자동 생성이 된다.

~/trenz_demo/test_board$ chmod +x vivado_create_project_guimode.sh
~/trenz_demo/test_board$ ./vivado_create_project_guimode.sh

 

 

 

Generate Bitstream 을 클릭한다.

 

 

File >> Export >> Export Hardware File >> include bit stream >> save xsa File

'Xilinx SoC > Zynqberry' 카테고리의 다른 글

4. BOOT.BIN 만들고, Program Flash 하기  (1) 2022.05.07
3. U-BOOT 빌드하기  (0) 2022.05.07
2. Vitis 프로젝트 만들기  (0) 2022.05.07
0. 준비  (0) 2022.05.07
Zynqberry(TE0726)  (0) 2022.05.07